Jump to content

deninho

Premium Members
  • Posts

    18.102
  • Εγγραφή

  • Τελευταία Επίσκεψη

  • Ημέρες που κέρδισε

    31

Everything posted by deninho

  1. deninho

    Ανέκδοτα & Αστεία

    α μιλάμε έχει πάει σε άλλο επίπεδο η φάση...
  2. deninho

    Android Mobiles Thread

    ντάξει, και για το oppo find 5 έλεγαν 2500mah, αλλά πρωί ως βράδυ με δυσκολία, από την αρχή ριβιού με διάρκεια μπαταρίας σε πραγματική χρήση αναρωτιέμαι αν υπάρχει
  3. deninho

    Android Mobiles Thread

    καμιά άποψη για την μπαταρία του υπάρχει; γιατί κατά τα άλλα, για 53 ευρά είναι εκπληκτικό
  4. deninho

    Οι αγορές μας..!!

    πλε διακόφτες;
  5. deninho

    World of Tanks

    έχει κάποιο πρόβλημα το τανκ προφανώς. Ελαττωματικό, να το πας για RMA @Rusty_Cookie
  6. deninho

    World of Tanks

    και με τον DimLar την είχε πατήσει έτσι ο @Rusty_Cookie, πάλι με το lefh19541548ασδφ
  7. deninho

    Smartphone 300€ ΜΑΧ

    thelab.gr από τα παλιά
  8. deninho

    Συνελήφθη ο ιδιοκτήτης της ιστοσελίδας KickassTorrents

    Εντελώς τυχαία, για άλλη μια φορά σε περίπτωση πειρατείας συνεργάστηκαν κράτη και κυβερνήσεις, λειτούργησαν άψογα οι αρχές στα διάφορα κράτη, και οι εγκληματίες συνελήφθησαν χωρίς πολλά πολλά. Αποδεικνύεται έτσι, ότι το να είσαι πειρατής ταινιών, μουσικής κι εφαρμογών, είναι χειρότερο από το να διακινείς ναρκωτικά (τελευταία, βέβαια, διακινούνται μόνα τους), όπλα ή ανθρώπους.
  9. deninho

    World of Tanks

    πρέπει να το αποσαφηνίσουμε αυτό, να ξέρω να κάνω τα κουμάντα μου κάθε φορά
  10. deninho

    World of Tanks

    τι; μόνο μια ώρα είναι το μπαν για όταν καθαρίζεις συμπαίκτες;
  11. deninho

    Πείτε Ό,τι Θέλετε v5.0

    δε θεωρείται ναρκωτικό, απλά προστατεύεται, γιατί πάει ο κάθε αρκουδόβλαχος, ξεριζώνει τα πάντα, ή κόβει ότι θέλει, όποτε το θέλει, και στο τέλος θα την εξαφανίσουμε τη ρίγανη. Εμείς απλά βγαίνουμε στην αυλή και μαζεύουμε φρέσκια ΑΠΟ ΤΗΝ ΑΛΛΗ ΟΜΩΣ, ΓΙΑ ΤΗΝ ΟΙΚΟΛΟΓΙΚΗ ΚΑΤΑΣΤΡΟΦΗ ΠΟΥ ΠΡΟΞΕΝΕΙ Η ΕΛ.ΑΣ., ΟΤΑΝ ΞΕΡΙΖΩΝΕΙ, ΚΑΤΑΣΤΡΕΦΕΙ ΚΑΙ ΚΑΙΕΙ ΕΚΑΤΟΝΤΑΔΕΣ ΔΕΝΤΡΑΚΙΑ ΚΑΝΝΑΒΗΣ ΔΕ ΜΙΛΑΕΙ ΚΑΝΕΙΣ (έπρεπε να το βγάλω από μέσα μου )
  12. deninho

    Block RAM σε VHDL

    Για το συγκεκριμένο που με ενδιέφερε (να γεμίσω τη μνήμη με άσσους, απλά για να έχω σήμα), βρήκα λύση: η γραμμή: signal ram : ram_type; γίνεται signal ram : ram_type := (others => "00000001"); βέβαια, αν ήθελα να το γεμίσω με συγκεκριμένα δεδομένα δεν ξέρω τι θα έπρεπε να κάνω, αλλά προς το παρόν λειτουργεί. Όταν βρω και με τα συγκεκριμένα δεδομένα τι παίζει, θα ενημερώσω εδώ, μήπως τύχει και χρειαστεί σε κάποιον μελλοντικό νουμπά
  13. deninho

    Ειδήσεις απο την Ελλάδα και όλο τον κόσμο v2.0

    28 άτομα στη φωτογραφία. Να τους κάνουμε 30, γιατί μπορεί να υπάρχουν 1-2 άτομα εκτός καρέ; Οι υπόλοιποι έχουν σημαντικότερες δουλειές
  14. Λοιπόν... προσπαθώ να φτιάξω μια μνήμη block ram, και έχω σκαλώσει στο πως θα την γεμίσω με δεδομένα εξ αρχής. Επί της ουσίας θα δουλεύει ως ROM, τουλάχιστον στην αρχή. Ο κώδικας είναι ο εξής: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.NUMERIC_STD.ALL; entity RAM is Generic ( DATA_WIDTH : integer := 8; --platos ADDRESS_WIDTH : integer := 8 --theseis mnimis, bit ); Port ( Clock : in STD_LOGIC; Reset : in STD_LOGIC; DataIn : in STD_LOGIC_VECTOR (DATA_WIDTH - 1 downto 0); Address : in STD_LOGIC_VECTOR (ADDRESS_WIDTH - 1 downto 0); WriteEn : in STD_LOGIC; Enable : in STD_LOGIC; DataOut : out STD_LOGIC_VECTOR (DATA_WIDTH - 1 downto 0) ); end RAM; architecture Behavioral of RAM is type ram_type is array ((2 ** ADDRESS_WIDTH) - 1 downto 0) of STD_LOGIC_VECTOR (DATA_WIDTH - 1 downto 0); signal ram : ram_type; function init_ram return ram_type is variable temp : ram_type; begin -- for i in 0 to ((2**ADDRESS_WIDTH)-1) loop --temp(i) := "00000001"; temp(1) := "00000001"; temp(2) := "00000001"; temp(3) := "00000001"; temp(4) := "00000001"; temp(5) := "00000001"; temp(6) := "00000001"; temp(7) := "00000001"; temp(8) := "00000001"; -- end loop; return temp; end init_ram; begin -- Read process process (Clock) begin if rising_edge(Clock) then if Reset = '1' then -- Clear DataOut on Reset DataOut <= (others => '0'); elsif Enable = '1' then if WriteEn = '1' then -- passthrough DataOut <= DataIn; else -- read ram DataOut <= ram(to_integer(signed(Address))); end if; end if; end if; end process; -- Write process process (Clock) begin if rising_edge(Clock) then if Reset = '1' then -- Clear Memory on Reset for i in ram'Range loop ram(i) <= (others => '0'); end loop; elsif Enable = '1' then if WriteEn = '1' then -- Store DataIn to Current Memory Address ram(to_integer(unsigned(Address))) <= DataIn; end if; end if; end if; end process; end Behavioral; ενώ το top module, στο οποίο περιέχεται η μνήμη, έχει το εξής process (δεν είναι όλο, προφανώς, απλά το κομμάτι που ελέγχει τη διεύθυνση της μνήμης) if (ren = '1') then addr <= std_logic_vector(to_unsigned((to_integer(unsigned(addr))+1),8)); end if; Synthesis βγάζει χωρίς errors, αλλά με σφάλματα, ότι δεν είναι αρχικοποιημένη η μνήμη (τι μας λες;) Simulation βγαίνει, αλλά το πρόβλημα είναι ότι το data out της μνήμης, βγάζει μονίμως 0. Το μόνο που έχω καταφέρει, για να πάρω κάποιο σήμα, είναι να δίνω Data In και ταυτόχρονα να έχω read enable, write enable ενεργά, οπότε δίνει την είσοδο ως έξοδο, αλλά προφανώς δεν κάνουμε δουλειά έτσι. Καμιά ιδέα;
  15. deninho

    Smartphone 300€ ΜΑΧ

    ΜΕ ΤΙΠΟΤΑ ΔΕΝ ΕΙΣΤΕ ΙΚΑΝΟΠΟΙΗΜΕΝΟΙ ΠΧΙΑ!!!
  16. deninho

    Smartphone 300€ ΜΑΧ

    huawei p9 lite, που παίζει στα 194 αυτήν την περίοδο; Απ'ότι διαβάζω, πολύ ωραίο κινητάκι. Η επίσημη εισαγωγή (Κοτσόβολους, πάμπλικ κλπ) είναι με 2gb ram, ενώ στα κινητομάγαζα (kaiser κλπ) μπορείς να βρεις και την έκδοση με 3gb με λίγα παραπάνω ευρώ. Προς το παρόν, δεν έχω βρει κάποιον να έχει πρόβλημα επιδόσεων στην έκδοση με τα 2gb Στα + ότι παίρνει και κάρτα microsd
  17. deninho

    Αγορές και paypal

    ε, ξέρω'γω δε θα σου κόβει την αγορά από το steam, ή τις αγορές από τα κινεζομάγαζα, που ήθελε να κάνεις πατέντες
  18. deninho

    Αγορές και paypal

    Βάλε φαντασία, που έλεγε και η Μαριάντα Πιερίδη, και καταλαβαίνεις ότι: α. Μεγαλώνει το όριο της πιστωτικής, όταν είσαι στο εξωτερικό β. Ξεμπλοκάρωνται οι διαδικτυακές αγορές, που μέχρι τώρα έτρωγαν άκυρο λόγω cc
  19. deninho

    Ποια τηλεοπτική σειρά παρακολουθείτε 2.0 (TVLab)

    τρου ντατ. και έγραψε, επακριβώς: και το (απολωλός) πρόβατο το βλέπει
  20. deninho

    Αγορές και paypal

    http://news247.gr/eidiseis/oikonomia/capital-controls-egkrithhke-apo-toys-thesmous-h-xalarwsh-toys.4176778.html Επιτέλους, θα μπορούμε να αγοράζουμε από εξωτερικό χωρίς μοντιφιές, και να ξοδεύουμε σε όποιο σάιτ θέλουμε, τα λεφτά που δεν έχουμε
  21. deninho

    Σκληρος σε δικτυο χωρις υπολογιστη

    Μετά, υπάρχει η επιλογή του RaspberryPi, για ένα υποτυπώδες NAS
  22. deninho

    Αξιολογα github repositories

    https://github.com/rgcr/m-cli Command line control panel για macosx
  23. deninho

    World of Tanks

    τώρα όμως έχει έκπτωση στα τσέχικα, να μην προχωρήσει αυτή τη γραμμή όσο διαρκεί η προσφορά;
×
×
  • Δημιουργία...

Important Information

Ο ιστότοπος theLab.gr χρησιμοποιεί cookies για να διασφαλίσει την καλύτερη εμπειρία σας κατά την περιήγηση. Μπορείτε να προσαρμόσετε τις ρυθμίσεις των cookies σας , διαφορετικά θα υποθέσουμε ότι είστε εντάξει για να συνεχίσετε.